< Back to previous page

Project

Photoresist Metrology and Development for high Numerical Aperture (NA) Extreme Ultraviolet Lithography (EUVL)

Over the past five decades, the integrated circuits (ICs) have seen a continuous increase of computing power while at the same time increasing performance. To achieve this, the number of transistors on the ICs must increase per unit area. This trend, known as Moore’s law, predicts that the number of transistors on an IC doubles every two years. The pattern dimensions (density and size) that can be obtained rely on the use of lithography which, among other parameters, depend on the light source. The extreme ultra-violet (EUV) lithography, at a wavelength of 13.5 nm is the leading-edge technology to pattern small features and recently it has been introduced for high volume manufacturing (HVM) in the semiconductor industry for the 7 nm technology node (N7). However, to enable the future technology nodes (N3, N2 and beyond), further efforts are needed to extend EUVL usage towards high-NA EUV. Importantly, the EUV development relies on the patterning capability of the photoresist. Such a material plays a key role in the lithography process by transferring the information present on the mask to the substrate when irradiated with light. It is quite evident already that the requirements on photoresist materials for high-NA EUVL in terms of resolution, etch resistance, film thickness, and roughness obviously place a heavy burden on the shoulder of material suppliers. What is becoming more and more clear, however, is that verifying if the specifications are met or not is a problem as complex as the engineering of the materials themselves. To make an example, let us consider the line edge roughness (LER) requirements, a critical indicator of the quality of the photoresist and of the process, providing a measurement of the roughness of the material under investigation. According the International Roadmap for Device and System (IRDS), the LER requirements will drop to 1.2nm (3 sigma) by 2028. Currently, LER measurements can be achieved using a Critical Dimension Secondary Electron Microscope (CD-SEM), which has a resolution of about 1.2nm. To make things more complicated, the noise of the SEM will bias the LER results, and a sophisticated procedure of un-biasing is required to remove systematic errors in the measurements. In addition, the results cannot be checked for accuracy, as until now no traceable calibration standard is available. Such a situation is not only limited to resist roughness characterization. As the Critical Dimensions (CD) drops, it becomes comparable to the interaction volume of the electrons, making CD-SEM metrology less sensitive to differences in CD. In addition, advanced technology nodes in high-NA EUV environment will require thinner resists (10-20nm), and this will affect the Signal-to-Noise ratio (SNR) in both CD-SEM and Scatterometry tools, ultimately impacting precision, roughness, and CD measurements. The need of detecting smaller defects (in the order of few nm) will not be easily satisfied by the optical inspection tools currently in use, and clear evidences of the industry moving toward e-beam inspection are already emerging. To achieve all these goals, a close collaboration between material and metrology development is essential. Such a collaboration cannot be limited to the standard development of Best-Known Methods (BKMs) on current metrology platforms, but must be intrinsically multidisciplinary, involving both equipment and material suppliers, aiming to develop new approaches when needed or using existing approaches in creative ways. Imec’s 300mm production line and advanced node technologies will be leveraged to gain access to patterned structures with dimensions down to tens of nanometers to combine fundamental studies with industrially relevant research. This PhD project aims to develop novel patterning metrology approaches when needed or using existing approaches in creative ways on thin resist film through research on fundamentals of e-beam, spectroscopy, and soft matter interaction for future applications in the semiconductor manufacturing industry. The main aspects of the described work are experimental technology studies combined with fundamental understanding light/electron-matter (resist) interaction and low and high energy electron induced chemistry. Moreover, modeling opportunities and SEM image analysis via collaborations is also a part of the PhD work.

Date:16 Apr 2021 →  Today
Keywords:Lithography, Photoresist, Metrology, EUVL, Extreme Ultraviolet Lithography, Light-matter interaction, Semiconductor manufacturing industry, E-beam, Line edge roughness
Disciplines:Nanometrology, Nanomanufacturing, Nanofabrication and nanomanufacturing, Semiconductor devices, nanoelectronics and technology
Project type:PhD project