< Back to previous page

Publication

Chip-package interaction in 3D stacked IC packages using Finite Element Modelling

Journal Contribution - Journal Article

Chip Package Interaction (CPI) gained a lot of importance in the last years. The reason is twofold. First, advanced node IC technologies requires dielectrics in the BEOL (back-end-of-line) with a decreasing value for the dielectric constant k. These so-called (ultra) low-k materials have a reduced stiffness and reduced adhesion strength to the barrier materials, making the BEOL much more vulnerable to externally applied mechanical stress due to packaging. Secondly, advanced packaging technologies such as 3D stacked IC's use thinned dies (down to 25 μm) which can cause much higher stresses at transistor level, resulting in electron mobility shifts of the transistors. Also the copper TSV (through-silicon-via) generates local stress which affects the device performance. This paper considers both the packaging impact on BEOL integrity and transistor mobility shifts for 3D stacked IC (integrated circuit) technologies. © 2014 Elsevier Ltd. All rights reserved.
Journal: Microelectronics Reliability
ISSN: 0026-2714
Issue: 6
Volume: 54
Pages: 1200 - 1205
Publication year:2014
BOF-keylabel:yes
IOF-keylabel:yes
BOF-publication weight:1
CSS-citation score:1
Authors from:Government, Higher Education
Accessibility:Closed